Announcement

Collapse
No announcement yet.

Thảo luận về thiết kế vi mạch

Collapse
X
 
  • Filter
  • Time
  • Show
Clear All
new posts

  • Thảo luận về thiết kế vi mạch

    xin chào các bạn,

    Hy vọng sẽ có 1 topic bàn luận sôi nổi về thiết kế vi mạch ở forum này.
    Đây là clip nói về quá trình sản xuất vi mạch của intel khá hay, hum nay giới thiệu cho các bạn nào chưa xem thì xem chơi cho biết ^^



    Học về thiết kế vi mạch thì không có liên quan gì tới quá trình này, tuy nhiên ai muốn tham gia vào lĩnh vực này cũng đều phải cần biết về nó, nếu không khi đọc tài liệu có liên quan tới quá trình này thì thiệt khổ cho ai không biết thuật ngữ nó ám chỉ điều gì

    Còn đây là topic mà các đại cao thủ trong ngành TKVM đã thảo luận - trao đổi. Ai quan tâm thì dành khoảng 1 tuần ngâm cứu xem nhé !

  • #2
    Tổng quan về thiết kế vi mạch

    Thiết kế vi mạch là 1 lĩnh vực mới và còn non trẻ ở VN. Bài viết giới thiệu tổng quan về thiết kế vi mạch nhằm cung cấp 1 số background cơ bản cũng như những kiến thức, công cụ cần có mà những người theo đuổi lĩnh vực này cần biết.

    1. Phân loại:

    Thiết kế vi mạch thường chia ra làm 3 loại:
    * Thiết kế số (Digital IC design)
    * Thiết kế tương tự (Analog IC design)
    * Thiết kế tín hiệu hỗn hợp (Mixed-signal design)

    Dù là thiết kế loại nào thì qui trình thiết kế cũng gồm 2 giai đoạn chính:
    * Thiết kế luận lý (Logical design - Front End design)
    * Thiết kế vật lý (Physical design - Back End design)

    Chip sau khi được thiết kế sẽ được đem đến nhà máy sản xuất. Các công ty có thể tự sản xuất chip của mình thiết kế, bán thiết kế cho các công ty khác, hoặc thuê các công ty khác sản xuất cho mình (fabless company). Chip sau khi sản xuất sẽ được kiểm tra kĩ lưỡng trước khi đến với người tiêu dùng.

    2. Thiết kế luận lý - Front End design:

    * Thiết kế số:

    Sử dụng ngôn ngữ thiết kế phần cứng (Verilog-HDL, VHDL, System-C...) để hiện thực các chức năng logic của thiết kế. Lúc này ta không cần quan tâm đến cấu tạo chi tiết của mạch mà chỉ chú trọng vào chức năng của mạch dựa trên kết quả tính toán cũng như sự luân chuyển dữ liệu giữa các thanh ghi (register). Đây là thiết kế mức chuyển thanh ghi (RTL – Register Transfer Level). Sau đó thiết kế RTL sẽ được mô phỏng để kiểm tra xem có thỏa tính đúng đắn của mạch hay không. Các CADs phổ biến dùng thiết kế và mô phỏng RTL là: NC-Verilog, NC-VHDL (của Cadence), ModelSim (của Mentor Graphics), VCS (của Synopsys).

    Tiếp theo, thiết kế RTL được tổng hợp (synthesize) thành các cổng (gate) cơ bản: NOT, NAND, XOR, MUX,…Quá trình này được thực hiện với sự trợ giúp của các CADs chuyên dụng. Phổ biến hơn cả là Design Compiler (Synopsys), Synplify (Synplicity), XST (Xilinx). Kết quả của quá trình tổng hợp không là duy nhất và tùy thuộc vào CADs và thư viện các cổng và macro của nhà sản xuất chip.

    Nói chung thiết kế số được hỗ trợ rất nhiều bởi các công cụ thiết kế chuyên dụng CADs so với 2 loại thiết kế còn lại.

    * Thiết kế tương tự:

    Các thiết kế tương tự không được hỗ trợ đắc lực bởi CADs như thiết kế số. Phần lớn công việc được thực hiện bởi con người (80%) và đòi hỏi nhiều kinh nghiệm cũng như hiểu biết về cấu trúc vật lý, tham số đặc trưng, công nghệ sản xuất của các linh kiện. Một điều may mắn là các thiết kế tương tự chủ yếu là các chip quản lí năng lượng, ADC, DAC, DC-DC converter, PLL, VCO, … (các lĩnh vực mà chip số chưa làm được hoặc không hiệu quả) chứa số lượng linh kiện ít hơn nhiều so với các thiết kế số với hàng triệu transistor.

    Xuất phát từ các thông số yêu cầu của chip và các ứng dụng mà các chip analog sẽ được sử dụng, chuyên viên thiết kế chọn kiến trúc chip thích hợp (kinh nghiệm có yếu tố quan trọng trong bước này). Sau đó tham số của các linh kiện trong kiến trúc đã chọn được tính toán và mô phỏng với các phần mềm chuyên dụng. Các CADs thông dụng là HSpice (Synopsys), Star-Hspice (Avant Copr), IC Design, Pspice (Cadence), IC Design (Mentor Graphics). Quá trình tính toán, mô phỏng được thực hiện cho đến khi đạt được kết quả theo yêu cầu, đôi khi phải thay đổi cả kiến trúc mạch.

    Bên cạnh các mô phỏng miền thời gian, đáp ứng tần số … , một loại mô phỏng thường hay sử dụng khi thiết kế chip analog là mô phỏng Monte-Carlo. Mô phỏng này dùng để khảo sát tín hiệu ra khi có các thay đổi về điện áp nguồn, nhiệt độ môi trường, sai số qui trình sản xuất…

    *Thiết kế tín hiệu hỗn hợp:

    Ngày nay các chip thường có chức năng phức tạp và chứa đồng thời các khối analog và digital. Bên cạnh các kĩ thuật dùng cho analog và digital, các nhà thiết kế phải tính đến những ảnh hưởng lẫn nhau của khối analog và digital (nhiễu, giao thoa,.. ) để đảm bảo chúng hoạt động ổn dịnh. Ngôn ngữ mới được phát triển dùng cho thiết kế chip tín hiệu hỗn hợp là AHDL (Analog Hardware Description Language).

    3. Thiết kế vật lý:

    *Thiết kế layout:

    Netlist thu được trong qua trình thiết kế luận lý được dùng để tạo layout cho chip. Ở giai đoạn này các linh kiện (transistor, điện trở, tụ điện, cuộn cảm) và các liên kết giữa chúng sẽ được tạo hình (hình dạng thực tế của các linh kiện và dây dẫn trên wafer trong quá trình sản xuất). Việc thiết kế tuân theo các qui luật (design rules) mà nhà sản xuất đưa ra. Các qui luật này phụ thuộc vào khả năng thi công và công nghệ của của nhà máy sản xuất. Có hai loại qui luật thiết kế là: lamda (λ) và qui luật tuyệt đối. Với qui luật lamda thì các kích thước phải là bội số của lamda, trong khi qui luật tuyệt tuyệt đối sử dụng các kích thước cố định. Sử dụng qui luật lamda giúp ta chuyển đổi thiết kế nhanh khi công nghệ thay đổi.

    Thiết kế số được hỗ trợ lớn bởi CADs, từ việc sử dụng lại thư viện các cells cơ bản cho đến place and route tự động. Chip analog đòi hỏi các thiết kế chính xác và các kĩ thuật chuyên biệt để đảm bảo tương thích (matching) giữa các linh kiện nhạy cảm, chống nhiễu (noise) và đáp ứng tần số.

    *Kiểm tra DRC và LVS:

    Sau khi layout chip và hoàn tất kiểm tra qui luật thiết kế (DRC - design rule check), layout được export thành file netlist để đem so sánh với netlist thu được trong quá trình thiết kế luận lý để kiểm tra tính đồng nhất của chúng. Nếu không có sự tương đồng giữa 2 netlist thì phải kiểm tra và sửa lại layout cho đến khi tương đồng. DRC và LVS được thực hiện bởi các tool chuyên dụng của Synopsys, Candence hay Mentor Graphic. Sau đó các toàn bộ quá trình thiết kế vật lý sẽ được tapeout ra 1 file (*.gds hay *.gds2) và gửi đến nhà máy sản xuất.

    Chip sau khi sản xuất sẽ được kiểm tra (test) trước và sau khi đóng gói để kiểm tra thông số trước khi được chuyển cho khách hàng hoặc đưa ra thị trường.

    Toàn bộ quy trình có thể tóm tắt bằng hình sau:


    p/s: Mình không biết phải trích dẫn chính xác nguồn của nó ở đâu cả vì có một số trang post, không để link của source vì thế mình trích ra đây một số link

    Attached Files
    Last edited by 07520256; 12-11-2011, 20:50.

    Comment


    • #3
      Thiết kế vi mạch là một lĩnh vực còn non trẻ của VN, nhưng cũng là lĩnh vưc hấp dẫn đối với sv khoa Kỹ Thuật Máy Tính. Còn gì vui hơn nếu mình được thiết kế một module trong những con IC được bán cho hàng triệu người trên thế giới. Và đặc biệt là làm cái này không sợ bị crack :-p
      Một khẩu súng giữ hai trời Nam Bắc,
      Một dấu chân in màu đất hai miền.

      ------------------------------------------------------

      Comment


      • #4
        Thiết kế số

        Đa số hiện nay công việc cho thiết kế vi mạch là thiết kế số, mặc dù trong các loại mixed-design vẫn hiện hữu các thiết kế tương tự chiếm tỉ lệ tương đối nhỏ (khoảng 20% diện tích IC - và hiện nay đang dần phát triển tương tự).

        Mình xin viết vài dòng những hiểu biết sơ đẳng của mình về design IC số cho các bạn K4 -> trước có thể đọc để biết đường đầu tư môn học.

        Trong quá trình học các bạn sẽ được làm quen với các mạch đơn giản như and, or, xor, not, các mach latch, flip-flop, Mux, deMux,... về chức năng hoạt động của chúng. Những mạch đơn giản này được dùng trong thiết kế số rất nhiều. Đó là lý do mà người ta định nghĩa ra các loại cổng như trên để khi cần thiết chỉ cần gọi chúng ra để sử dụng. Chúng có tác dụng định chuẩn ra các giá trị output với các input xác định có tính logic. Do đó khi ai đó nói bạn code ở mức RTL có nghĩa là code của bạn sử dụng các gate này để thiết kế nên mạch của bạn và chúng sẽ đúng hoặc không ở mức logic, tạm thời bỏ qua các ràng buộc như tối ưu timing, diện tích....

        Đôi khi trong những mạch lớn, phức tạp người ta cần các mạch như mạch cộng, nhân, dịch, state-machine... thì người ta sẽ định nghĩa thêm mạch con nhỏ dựa trên các đơn vị như cổng nor, xor, nand,.... nên khái niệm cell cũng chỉ là tương đối.

        OK, tạm hiểu là mạch lớn được tạo nên nhờ những mạnh nhỏ, mạch nhỏ nhờ những mạch nhỏ hơn và cuối cùng là các con CMOS

        Khoa KTMT chúng ta học môn thiết kế vi mạch với verilog sử dụng Quartus của Altera, như các trình tổng hợp khác như Modelsim, VCS,... CAD này sẽ tổng hợp code VHDL or Verilog ra các cổng logic nhỏ và đi dây theo như những gì code mô tả mà ta có thể quan sát ở mức RTL. Trong quá trình code mã verilog, thật ra cũng giống như lập trình các ngôn ngữ vậy, người lập trình phải mường tượng ra mục đích của mình là đang làm gì. Tuy nhiên, đôi khi một người lập trình viên có thể nhận requirement rồi ngồi code với những sơ đồ đơn giản, còn người làm phần cứng thì không được, không nên hoặc không thể "trừu tượng" những gì mình đang code. Vì vậy mà bước Function Verification rất được chú trọng và chiếm phần lớn thời gian của việc thiết kế, trong đó, người kĩ sư phải thiết kế từng module nhỏ bằng mạch, vẽ tất cả ra và phải chắc chắn rằng module đó thực hiện đúng yêu cầu. Sau khi hoàn thành thì người lập trình Hardware ngồi mô tả lại những gì mình đã verify.

        Bước mô tả mạch này chủ yếu là làm cho function đúng còn theo sau nó là cả một quá trình check timing như trong phần front end của bài trước. Vì thế bước verification rất quan trọng vì đưa bản verify cho các nhóm làm việc khác nhau sẽ tiện lợi và khi có lỗi phát sinh thì dựa vào nó để debug nhanh hơn. Và tất nhiên, quá trình tạo bản verification này cũng phải có kĩ năng để các nhóm làm việc có thể đọc hiểu mà không cần phải face to face "tâm sự".

        Mình chưa được đụng tới làm timing như thế nào nên cũng chưa rõ lắm nên mong bạn nào đã tiếp xúc với thực tế, hãy chia sẻ cho mọi người cùng biết.
        Theo mình được biết thì trong quá trình trade-off, customer sẽ đưa ra những yêu cầu mà công ty thiết kế nhận trong đó có những ràng buộc về timing, diện tích, power,... Các yêu cầu về diện tích hay power tiêu thụ thì còn phụ thuộc vào loại công nghệ (bao nhiêu nanometers) sử dụng nên công ty thiết kế phải tuân theo. Vậy còn lại là timing. Từ những yêu cầu về timing mà người thiết kế phải canh chỉnh để các tín hiệu phải thỏa mãn một con số nào đó, không được chậm hơn và tín hiệu cần ổn định không bị nhầm lẫn.

        Tất nhiên, còn nhiều vấn đề nữa mà mình chưa được biết tới, hy vọng sẽ có người bổ sung.
        Chúc các bạn sẽ học tốt các môn như verilog, hệ thống số,.... Nếu học verilog mình đề cuốn này cho các bạn: Verilog HDL Synthesis, A Practical Primer

        Comment


        • #5
          Em hy vọng qua được môn Hệ Thống Số này kì này đã rùi tính tiếp.

          Comment


          • #6
            Originally posted by 09520524 View Post
            Em hy vọng qua được môn Hệ Thống Số này kì này đã rùi tính tiếp.
            hệ thống số là bước đầu tiên và cơ bản nhất của Thiết Kế Vi Mạch, mà em có đi thiết kế vi mạch hay Hệ Thống Nhúng thì cũng phải học môn này cho chắc ...
            Một khẩu súng giữ hai trời Nam Bắc,
            Một dấu chân in màu đất hai miền.

            ------------------------------------------------------

            Comment


            • #7
              Hi!
              Lâu giờ không vào đây nên có topic hay thế này mà không tham gia.
              Chủ topic không biết bây giờ còn ghé thăm không nhỉ ^.^?
              Nhân tiện cho em hỏi :
              Khi mình muốn làm một đề tài về lĩnh vực này thì bước đầu tiên mình phải làm những gì và trả lời được những câu hỏi nào nhỉ?
              Mong mọi người mách nước.
              Thân Tuấn!
              University of Information Technology
              ---------Computer Engineer-----------
              ----------Trương Văn Tuấn------------
              Email: ittuantruong@gmail.com

              Comment


              • #8
                ở hình quy trình thiết kế post #2 đó em... một thiết kế phải đi theo những bước đó, lúc học thì ko quan tâm lắm, nhưng lúc đụng project vừa vừa thì mới thấy cái đó quan trọng @@
                Một khẩu súng giữ hai trời Nam Bắc,
                Một dấu chân in màu đất hai miền.

                ------------------------------------------------------

                Comment


                • #9
                  Các bạn tham khảo quy trình tổng quát để cho ra đời một IC

                  Link: http://www.box.com/s/o61hgei5pex49u9b3ps4

                  Comment


                  • #10
                    èo khoa mình ko đi ngành này dc đâu vì phần mêm thực hành thiết kế mach rất mắc nghe nói gần 20 tỷ đó (5 tr usd)
                    Khi đã chọn công việc hay cái nghề cho mình thì nên đầu tư nhiều công sức cho nó thì sẽ thấy nó có nhiều cái hay để kiếm ra tiền lắm.Rồi cái quan trọng là có sức hay kiên trì mà làm không thôi! Đừng đứng núi này trông núi nọ thì phí hoài thời gian và tuổi trẻ thôi!

                    Comment


                    • #11
                      Originally posted by 11520034 View Post
                      èo khoa mình ko đi ngành này dc đâu vì phần mêm thực hành thiết kế mach rất mắc nghe nói gần 20 tỷ đó (5 tr usd)
                      ý em là "ngành gì" ??? và phần mềm em nói là phần mềm gì . Đúng là phần mềm trong lĩnh vực này rất đắt nhưng đó là phần mềm dành cho người thiết kế chuyên nghiệp... còn ở trường thì sử dụng một số phần mềm miễn phí cùng tính năng, hoặc các hãng đó người ta cung cấp phiên bản student edition với tính năng hạn chế cho sinh viên.

                      Ở lĩnh vực thiết kế vi mạch này, rất nhiều sinh viên khoa mình đi ...

                      PS: mà em lấy con số 20 tỷ ở đau ra thế :-??... theo anh biết thì nguyên cái kit DE3 altera báo giá có khoảng trên dưới 3000USD, còn phần mềm chuyên dùng để layout Synopsys anh nhớ cũng khoảng mấy ngàn USD...
                      Last edited by 08520229; 12-03-2012, 15:02.
                      Một khẩu súng giữ hai trời Nam Bắc,
                      Một dấu chân in màu đất hai miền.

                      ------------------------------------------------------

                      Comment


                      • #12
                        Thanks các anh nha.
                        Thật sự mà nói thì khi bắt đầu làm project thì mới thấy được tầm quan trọng của các bước đầu.
                        Hi Chung!
                        Originally posted by 11520034 View Post
                        èo khoa mình ko đi ngành này dc đâu vì phần mêm thực hành thiết kế mach rất mắc nghe nói gần 20 tỷ đó (5 tr usd)
                        Em nói như vậy thì không đúng rồi. Mình đi theo là để phát triển chứ . Nếu nói như vậy, thấy không đủ điều kiện để theo thì nay các nước khác cũng đâu có các phần mềm hay công cụ để xài. (Nói vui) Biết đâu có người bạn nào đó của mình làm ra được nó thì sao hjhj
                        University of Information Technology
                        ---------Computer Engineer-----------
                        ----------Trương Văn Tuấn------------
                        Email: ittuantruong@gmail.com

                        Comment


                        • #13
                          Hi em !

                          Ngành này mà khoa mình ko đi thì khoa nào đi nữa em, về phần mềm thì em iên tâm, khoa mình đảm bảo đủ phần mềm cho các bạn học và thực hành rất sát với công việc thiết kế vi mạch ở các công ty mà các bạn làm sau này. Hiện tại cũng có nhiều công ty về thiết kế vi mạch ở nước ta nên về việc làm thì các bạn cũng không phải lo nhiều. Các bạn cần phải trao dồi kiến thức nhiều và chú ý học tiếng Anh thì tương lai rất sáng lạng.

                          Chúc các bạn học tốt
                          Originally posted by 11520034 View Post
                          èo khoa mình ko đi ngành này dc đâu vì phần mêm thực hành thiết kế mach rất mắc nghe nói gần 20 tỷ đó (5 tr usd)

                          Comment


                          • #14
                            Originally posted by 11520034 View Post
                            èo khoa mình ko đi ngành này dc đâu vì phần mêm thực hành thiết kế mach rất mắc nghe nói gần 20 tỷ đó (5 tr usd)
                            Chưa (hay không) làm thì đừng bàn lui bạn ơi.

                            Thân.

                            Comment


                            • #15
                              Hi các bạn!
                              Mình vừa tình cờ đọc được bài viết này . Mong có thể khái quát và giải quyết được trở ngại của các bạn về ngành thiết kế vi mạch nhất là những bạn muốn đi theo hướng này.

                              Hiện tại cũng đã có nhiều công ty nước ngoài đầu tư vào Việt Nam trong lĩnh vực thiết kế vi mạch như:

                              * Công ty Renesas (Nhật), đứng hàng thứ 7 trên thế giới (2005) đã mở Renesas Việt Nam, thực hiện một phần công việc thiết kế vi mạch cho hãng chính tại Nhật.
                              * Công ty SDS (Mỹ), thực hiện thiết kế và bán lõi IP về bộ nhớ (Ram, Rom).
                              * Công ty Viet Vmicro (Mỹ) xin đầu tư vào khu công nghệ cao TP.HCM và xây dựng nhà máy sản xuất chip đầu tiên tại Việt Nam. Sản phẩm chính của họ sẽ là các analog IC dùng trong thiết bị cầm tay.
                              * Công ty Signet (Mỹ) chuyên làm một phần thiết kế vi mạch, đó là timing và layout.
                              * Đặc biệt, Tập đoàn Intel – tập đoàn lớn nhất thế giới về sản xuất vi mạch đã đầu tư vào khu công nghệ cao TP.HCM với hơn 1 tỷ USD để thành lập nhà máy thực hiện các khâu testing và đóng gói vi mạch.
                              * Và nhiều công ty khác nữa VSMC, ATVN...

                              Năm 2007, lại xuất hiện thêm những đại gia trong ngành công nghiệp bán dẫn với khuynh hướng nổi bật là thành lập các trung tâm R&D, cụ thể:

                              * Công ty Altera, đứng hàng thứ 2 trên thế giới về FPGA, đã thành lập trung tâm R&D tại khu chế xuất Tân Thuận.
                              * Công ty AMCC, đang xúc tiến việc thành lập trung tâm R&D cũng tại khu chế xuất Tân Thuận…

                              Đầu năm 2008, với sản phẩm chip SigmaK3 đã mở ra một thời kỳ mới cho ngành thiết kế vi mạch của Việt Nam.

                              Một vấn đề mà các cty có mặt tại VN đang phải đối diện đó là tình trạng thiếu hụt nguồn nhân lực một cách trầm trọng. Cụ thể, Renesas có nhu cầu 1.000 chuyên viên thiết kế vi mạch đến 2010, thế nhưng cho đến thời điểm này họ chỉ mới tuyển được hơn 300 chuyên viên. Tập đoàn Intel tuyên bố họ cần đến 4.000 nhân công cho nhà máy tại Việt Nam, trong đó gồm 1.500 kỹ sư.

                              Trước nhu cầu nguồn nhân lực cao cấp của ngành thiết kế vi mạch, một số trường đại học, đặc biệt là các trường thành viên của Đại học Quốc gia TP.HCM đã xây dựng các phòng thí nghiệm trực thuộc, cụ thể:

                              * Trung tâm nghiên cứu và đào tạo thiết kế vi mạch (ICDREC) thuộc ĐHQG TP.HCM
                              * Phòng thí nghiệm thiết kế và mô phỏng vi mạch thuộc Khoa Điện-Điện Tử , Đại học Bách Khoa TP.HCM
                              * Phòng thí nghiệm thiết kế vi mạch và hệ thống nhúng thuộc trường Đại học Khoa học Tự Nhiên TP.HCM
                              * Phòng thí nghiệm vi mạch thuộc Khoa Điện tử - Viễn thông trường Đại học Khoa học Tự Nhiên TP.HCM

                              Các phòng thí nghiệm này đều có những đầu tư nhất định của riêng mình nhưng nhìn chung đều thiếu lực để đầu tư các phần mềm và nâng cấp cơ sở vật chất nhằm đưa phòng thí nghiệm thiết kế vi mạch đạt tiêu chuẩn công nghiệp của thế giới.

                              Trích: Của trHieu http://sinhvienulsan.net/showthread.php?t=7444
                              Thân!
                              Last edited by 09520711; 08-04-2012, 08:21.
                              University of Information Technology
                              ---------Computer Engineer-----------
                              ----------Trương Văn Tuấn------------
                              Email: ittuantruong@gmail.com

                              Comment

                              LHQC

                              Collapse
                              Working...
                              X